aboutsummaryrefslogtreecommitdiff
path: root/14.0.0
diff options
context:
space:
mode:
authorSam James <sam@gentoo.org>2023-12-25 17:09:58 +0000
committerSam James <sam@gentoo.org>2023-12-25 17:09:58 +0000
commit5055fa1426b3a9ebab80d799ea30b6c65ad2ac74 (patch)
tree02c1bca90039ae1207b771d3489ad48114c845fa /14.0.0
parent14.0.0: cut patchset 12 with early abort vectorization reverts (diff)
downloadgcc-patches-5055fa1426b3a9ebab80d799ea30b6c65ad2ac74.tar.gz
gcc-patches-5055fa1426b3a9ebab80d799ea30b6c65ad2ac74.tar.bz2
gcc-patches-5055fa1426b3a9ebab80d799ea30b6c65ad2ac74.zip
14.0.0: drop erroneous patch
75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch reverts something which wasn't in the snapshot (but is a fix for the vect stuff). Signed-off-by: Sam James <sam@gentoo.org>
Diffstat (limited to '14.0.0')
-rw-r--r--14.0.0/gentoo/75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch32
-rw-r--r--14.0.0/gentoo/README.history4
2 files changed, 4 insertions, 32 deletions
diff --git a/14.0.0/gentoo/75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch b/14.0.0/gentoo/75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch
deleted file mode 100644
index b113f1e..0000000
--- a/14.0.0/gentoo/75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch
+++ /dev/null
@@ -1,32 +0,0 @@
-From a648fe2c0121414ac82926e9f8a70b33e347b930 Mon Sep 17 00:00:00 2001
-From: Sam James <sam@gentoo.org>
-Date: Mon, 25 Dec 2023 16:57:10 +0000
-Subject: [PATCH 1/7] Revert "middle-end: explicitly initialize vec_stmts
- [PR113132]"
-
-This reverts commit fd032cce216e003d58b2394f7e61b03dee27e81a.
-
-Bug: https://gcc.gnu.org/PR113135
-Bug: https://gcc.gnu.org/PR113136
-Bug: https://gcc.gnu.org/PR113137
-Signed-off-by: Sam James <sam@gentoo.org>
----
- gcc/tree-vect-loop.cc | 2 +-
- 1 file changed, 1 insertion(+), 1 deletion(-)
-
-diff --git a/gcc/tree-vect-loop.cc b/gcc/tree-vect-loop.cc
-index f51ae3e719e7..88261a3a4f57 100644
---- a/gcc/tree-vect-loop.cc
-+++ b/gcc/tree-vect-loop.cc
-@@ -6207,7 +6207,7 @@ vect_create_epilog_for_reduction (loop_vec_info loop_vinfo,
- exit_bb = loop_exit->dest;
- exit_gsi = gsi_after_labels (exit_bb);
- reduc_inputs.create (slp_node ? vec_num : ncopies);
-- vec <gimple *> vec_stmts = vNULL;
-+ vec <gimple *> vec_stmts;
- for (unsigned i = 0; i < vec_num; i++)
- {
- gimple_seq stmts = NULL;
---
-2.43.0
-
diff --git a/14.0.0/gentoo/README.history b/14.0.0/gentoo/README.history
index ad540db..90ab9ed 100644
--- a/14.0.0/gentoo/README.history
+++ b/14.0.0/gentoo/README.history
@@ -1,3 +1,7 @@
+13 25 Dec 2023
+
+ - 75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch
+
12 25 Dec 2023
+ 75_all_Revert-middle-end-explicitly-initialize-vec_stmts-PR.patch